学位论文 > 优秀研究生学位论文题录展示

基于Xilinx FPGA的USB 2.0控制器开发

作 者: 高强
导 师: 冉全
学 校: 武汉工程大学
专 业: 计算机应用
关键词: USB2.0控制器 FPGA Verilog HDL
分类号: TP273
类 型: 硕士论文
年 份: 2011年
下 载: 115次
引 用: 0次
阅 读: 论文下载
 

内容摘要


当前,由于USB2.0接口具有成本低、传输数据时速度快、使用方便、灵活性高、与外设连接时实现热插拔等特点,USB2.0接口受到越来越多的研究者的关注,并投入对其的研究中,使得USB接口发展速度非常快,设备的种类和数量也急剧增加。据In-Stat报告,USB电子产品每年的发货量将从2005年的13亿翻番至2010年的27.9亿。因此本文的研究具有巨大的使用价值和广泛的应用前景。本文在研究了USB协议原理的基础上,参考Cypress Semiconductor公司EZ-USB FX2的CY7C68013模块结构,设计出一款支持全速和高速的USB2.0控制器。并通过了FPGA的验证和测试。主要工作如下:首先,从多方面对USB的协议原理及逻辑结构进行了详细的研究,其主要内容包括USB系统的逻辑组成、总线电气特性、数据传输类型及事务处理等。其次,研究了FPGA的设计方法,介绍了本文设计芯片的开发流程和本论文的开发软件。然后,在研究了CY7C68013模块结构基础之上,从收发器UTMI模块、存储器和仲裁接口模块、协议层PL模块、控制和状态寄存器模块、功能接口模块和翻译协议模块对本文设计的USB2.0设备控制器进行详细的阐述。其中,在包组装器PA模块设计中,采用并行算法对数据包进行CRC校验,减少了硬件设计和电路中的延时问题,并降低了硬件成本;在协议层模块PL设计中,采用3级缓冲器对数据包进行处理,提高了数据与校验值的分离速率,也提高了数据的传输速率。最后,基于Xilinx公司的ISE软件,对每个模块进行Verilog HDL语言描述,并编写测试激励程序,结合ModelSim仿真软件对其进行功能仿真,取得良好的效果,证明了本设计的可行性。总之,以上研究成果不仅给USB制造厂商提供了一种可行性参考,而且丰富了此方面的理论方法和实现技术。

全文目录


摘要  4-5
Abstract  5-10
第1章 引言  10-15
  1.1 问题的提出  10-11
    1.1.1 研究背景  10
    1.1.2 研究意义  10-11
  1.2 USB2.0 特点及趋势  11-12
  1.3 USB 功能设备芯片及国内外研究现状  12-13
  1.4 本文研究的内容和结构  13-15
第2章 USB2.0 协议原理分析  15-31
  2.1 USB 系统的组成  15-18
    2.1.1 USB 主机  15
    2.1.2 USB 设备架构  15-17
    2.1.3 USB 的连接  17-18
  2.2 USB 总线电气特性  18-19
  2.3 USB 数据传输类型  19-21
    2.3.1 控制传输  20
    2.3.2 中断传输  20
    2.3.3 批量传输  20-21
    2.3.4 同步传输  21
  2.4 USB 的事务处理  21-30
    2.4.1 字段定义  22-24
    2.4.2 信息包的格式  24-28
    2.4.3 事务处理  28-30
  2.5 小结  30-31
第3章 FPGA 的开发流程及设计工具  31-37
  3.1 FPGA 设计方法概论  31-32
  3.2 FPGA 的开发流程  32-35
  3.3 ISE 软件介绍  35-36
  3.4 本章小结  36-37
第4章 USB2.0 控制器的设计  37-65
  4.1 USB2.0 控制器设计综述  37-42
    4.1.1 FX2 特性介绍  37-39
    4.1.2 USB2.0 控制器的模块划分  39-40
    4.1.3 控制器顶层引脚定义  40-42
  4.2 收发器UTMI 的设计  42-47
    4.2.1 UTMI 接口设计  42-44
    4.2.2 总线事件检测实现  44-46
    4.2.3 数据传递  46-47
  4.3 协议层PL 模块的设计  47-54
    4.3.1 包组装器PA  48-50
    4.3.2 包拆装器PD  50-51
    4.3.3 协议引擎PE  51-54
  4.4 协议翻译模块PHY 的设计  54-56
  4.5 控制和状态寄存器  56-61
    4.5.1 控制器寄存器  57-59
    4.5.2 端点寄存器  59-61
  4.6 存储器接口和仲裁器的设计  61-64
  4.7 本章小结  64-65
第5章 USB2.0 设备控制器的FPGA 仿真及验证  65-77
  5.1 仿真结果及分析  65-69
  5.2 系统的可综合描述  69-71
  5.3 本设计的综合  71-74
  5.4 USB2.0 控制器的FPGA 功能验证  74-76
    5.4.1 验证方案  74-75
    5.4.2 验证步骤  75-76
  5.5 本章小结  76-77
结束语  77-79
参考文献  79-83
攻读硕士期间已发表的论文  83

相似论文

  1. 基于FPGA的电磁超声检测系统的研究,TH878.2
  2. 基于FPGA的五相PMSM驱动控制系统的研究,TM341
  3. LXI任意波形发生器研制,TM935
  4. 基于FPGA的射频功放数字预失真器设计,TN722.75
  5. 突发OFDM系统同步与信道估计算法及FPGA实现,TN919.3
  6. 直扩系统抗多径性能分析及补偿方法研究,TN914.42
  7. 电视制导系统中视频图像压缩优化设计及实现研究,TN919.81
  8. 基于FPGA的多用户扩频码捕获研究及硬件仿真,TN914.42
  9. 基于FPGA的数字图像处理基本算法研究与实现,TP391.41
  10. 基于FPGA的高速图像预处理技术的研究,TP391.41
  11. 基于FPGA的高速数字图像采集与接口设计,TP274.2
  12. 基于FPGA的电感传感器数据采集系统的研制,TP274.2
  13. 基于Nios的串行总线分析仪研制,TP274
  14. 基于FPGA-RocketIO_X的PMC高速数据传输板开发,TP274.2
  15. PXI高性能数字I/O模块研制,TP274
  16. LXI计数器研制,TP274
  17. 基于FPGA的高速实时数据采集系统,TP274.2
  18. 基于Nios Ⅱ的GPS信息接收系统设计,TN967.1
  19. 温压炸药爆炸温度场存储测试技术研究,TQ560.7
  20. 掺铒光纤放大器中泵浦激光器驱动源的研究应用,TN248
  21. FPGA系统远程安全升级的设计与实现,TP309

中图分类: > 工业技术 > 自动化技术、计算机技术 > 自动化技术及设备 > 自动化系统 > 自动控制、自动控制系统
© 2012 www.xueweilunwen.com