学位论文 > 优秀研究生学位论文题录展示

CPLD在电力电子变换技术中的应用

作 者: 叶剑利
导 师: 张仲超
学 校: 浙江大学
专 业: 电力电子与电力传动
关键词: 变换技术 电力电子 CPLD设计 多路输出 级联型多电平变流器 电压空间矢量调制 逻辑器件 发展和应用 调速控制系统 变频调速技术
分类号: TN79
类 型: 硕士论文
年 份: 2004年
下 载: 894次
引 用: 16次
阅 读: 论文下载
 

内容摘要


可编程逻辑器件(CPLD)在近年来得到了迅速发展,其在电力电子变换技术中的应用也越来越广泛,本文主要介绍了如何应用CPLD来实现变频调速控制系统多路输出PWM波形发生器的设计。 CPLD的数字资源丰富、工作频率高、可在系统编程使得开发灵活,开发周期相对较短。利用CPLD可以实现完整的控制系统;可以与单片机或DSP共同构成一个控制系统:CPLD还是专用集成电路(ASIC)芯片设计的验证手段。本文中设计的几个CPLD的应用,即是体现了CPLD的以上几个用途。 本文第一章介绍了可编程逻辑器件的发展和应用,以及EDA的发展历程。针对CPLD的快速发展,论述了它在电力电子变换技术应用中的优势。 第二章介绍了交流电动机变频调速技术以及相应技术的发展和应用情况。着重介绍了正弦波脉宽调制(SPWM)和电压空间矢量调制(SVPWM)方式。并且详细介绍了SPWM调速系统和SVPWM调速控制系统的CPLD设计,给出了设计思路,具体方案,逻辑时序分析,得到了实验结果。 第三章介绍了多路输出PWM波形发生器的原理和应用场合,详细给出了利用CPLD实现多路输出PWM波形发生器的设计过程。 第四章将多路输出PWM波形发生器进行了应用的研究。将基于CPLD的波形发生器与单片机以及DSP进行连接,输出相应的PWM波形,并且应用到级联型多电平变流器中,验证了实验的正确性。 第五章对本课题所做的工作进行了总结。作者针对CPLD在电力电子变换技术领域做了大量的工作,论述了CPLD应用于该领域的意义,为下一步的研究打下了基础。最后对课题以后的研究工作进行了展望。

全文目录


第一章 绪论  7-16
  1.1 可编程逻辑器件以及EDA技术的发展  7-12
    1.1.1 可编程逻辑器件的发展、应用  7-10
    1.1.2 EDA技术的发展、应用  10-12
  1.2 可编程逻辑器件在电力电子变换技术中的应用和特点  12-15
    1.2.1 可编程逻辑器件在电力电子变换技术中的应用  12-14
    1.2.2 可编程逻辑器件在电力电子变换技术应用中的特点  14-15
  1.3 本课题的研究内容  15-16
第二章 CPLD在变频调速系统中的应用  16-48
  2.1 变频调速系统的控制技术  16-18
  2.2 基于CPLD的SPWM调速系统的研制  18-33
    2.2.1 正弦波脉宽调制(SPWM)技术  18-23
      2.2.1.1 采样法SPWM  18-19
      2.2.1.2 采样型SPWM法的数学模型  19-23
    2.2.2 CPLD内部资源及MaxplusⅡ软件简介  23-25
      2.2.2.1 CPLD内部资源和功能介绍  23-24
      2.2.2.2 ACEX1K芯片及MaxplusⅡ软件简介  24-25
    2.2.3 基于CPLD的SPWM调速系统的研制  25-30
      2.2.3.1 基于CPLD的SPWM调速系统内部结构  25-26
      2.2.3.2 CPLD数字系统工作原理及控制策略  26-27
      2.2.3.3 系统逻辑与时序功能验证  27-30
    2.2.4 基于CPLD的最小开关损耗SPWM系统的研制  30-33
      2.2.4.1 最小开关损耗PWM的基本原理  30-32
      2.2.4.2 基于CPLD最小开关损耗PWM的算法实现  32-33
  2.3 基于CPLD的SVPWM调速系统的研制  33-47
    2.3.1 电压空间矢量调制  33-39
      2.3.1.1 磁链追踪型PWM法的基本原理  33-37
      2.3.1.2 磁链追踪型PWM的分类  37-39
    2.3.2 基于CPLD连续开关调制模式SVPWM调速系统的研制  39-43
      2.3.2.1 连续开关调制模式电压空间矢量分布  39-40
      2.3.2.2 基于CPLD数字系统实现  40-43
    2.3.3 基于CPLD的交替零矢量SVPWM调速系统的研制  43-47
      2.3.3.1 CPLD数字系统工作原理及控制策略  45-46
      2.3.3.2 系统逻辑与时序功能验证  46-47
  2.4 结论  47-48
第三章 基于CPLD的PWM波形发生器的设计  48-60
  3.1 PWM控制信号的产生方法  48-49
  3.2 基于CPLD的波形发生器基本原理  49-56
    3.2.1 三角载波的产生  50
    3.2.2 数据的锁存  50-51
    3.2.3 死区发生器的设计  51-55
    3.2.4 两路PWM波形发生器  55-56
  3.3 基于CPLD的多路输出PWM波形发生器的设计  56-60
    3.3.1 多路输出PWM波形发生器原理  56-57
    3.3.2 相移载波发生器  57-58
    3.3.3 数据的锁存控制  58-59
    3.3.4 死区的产生  59-60
第四章 波形发生器的应用研究  60-79
  4.1 基于AT89C51与CPLD的最简PWM波形发生器  60-63
    4.1.1 EPM7128芯片简介及发生器基本原理  60-61
    4.1.2 CPLD中各个部分的实现  61-62
    4.1.3 试验结果  62-63
  4.2 基于单片机AT89C51和CPLD的多路PWM波形发生器  63-66
    4.2.1 AT89C51与ACEX1K的接口  63
    4.2.2 多路PWM触发信号的实现  63-66
  4.3 基于DSP与CPLD的多路PWM波形发生器的设计  66-70
    4.3.1 TMS320F240DSP芯片及评估板扩展功能简介  66-67
    4.3.2 DSP与CPLD芯片的接口实现及实验结果  67-70
  4.4 基于CPLD多电平级联型逆变器的研制  70-79
    4.4.1 基于载波相移SPWM技术级联型多电平变流器  70-71
    4.4.2 三电平级联型逆变器主电路设计  71-73
    4.4.3 驱动电路及光电隔离电路  73-74
    4.4.4 实验结果  74-79
第五章 总结和展望  79-81
参考文献  81-83
附: 在攻读硕士学位期间发表的科技论文  83-84
致谢  84

相似论文

  1. 城市二次供水智能控制系统的研究与开发,TP273.5
  2. 基于DSP的抽油机电机交流变频调速系统的研究,TM921.51
  3. 工业振动棒变频电源的研究,TM921.51
  4. CPLD在变频调速系统中的应用,TM921.51
  5. 供暖锅炉变频控制系统设计,TM921.5
  6. 基于DSP的全自动数字焊机多轴运动检测与控制的研究,TP273
  7. 直流运动控制系统实验平台的研究,TP273
  8. 静液压传动控制系统的研究,TP271.31
  9. 内置式永磁同步电机有限元分析及其调速控制,TM341
  10. 试验水池拖车速度控制系统设计与实现,TP273
  11. 基于混沌粒子群算法的同步发电机最优调速控制系统,TM31
  12. PCI Express接口相变存储卡的设计,TP333
  13. 基于DSP和CPLD的运动控制卡设计与实现,TP273
  14. 光传送网光通道层信号封装技术研究,TN929.1
  15. 扩频系统技术研究及其实现,TN914.42
  16. 交直型电力机车功率因数补偿装置测试平台的研制,TP311.52
  17. 基于FPGA的SATA主机端控制器的设计,TP331
  18. 复杂发电机系统的智能控制理论方法研究,TM31
  19. 异步电机直接转矩控制系统的探讨与实践,TM343
  20. 四象限级联型多电平逆变器拓扑及控制策略的研究,TM464

中图分类: > 工业技术 > 无线电电子学、电信技术 > 基本电子电路 > 数字电路
© 2012 www.xueweilunwen.com