学位论文 > 优秀研究生学位论文题录展示

USB接口电路的设计与实现——发射端的设计

作 者: 辛宇
导 师: 李平
学 校: 电子科技大学
专 业: 微电子学与固体电子学
关键词: USB通信模型 通讯流模型 数据传输方式 通讯协议 事务处理 USB接口 NRZI SIE
分类号: TN402
类 型: 硕士论文
年 份: 2003年
下 载: 595次
引 用: 4次
阅 读: 论文下载
 

内容摘要


USB是由Compaq,Digital,Equipment,IBM,Intel,Microsoft,Nec和Northern Telecom(北方电信公司)等七家公司共同提出的。USB的英文全称为Universal Serial Bus,中文含义是通用串行总线,它是一种快速的、双向的、同步传输的、廉价的并可以进行热拔插的串行接口,它是与当今计算机的发展趋势相适应的。之所以提出USB技术的主要原因就是想利用单一的总线技术,来满足多种应用领域的需要。在USB总线上,可以同时支持低速(1.5Mb/s)和全速(12Mb/s)以及高速(480Mb/s)的数据传输;而且可以支持异步(如键盘、游戏杆、鼠标)传输和同步传输(如声音,图象设备)等传输方式;它还可以同时支持多达127个外设。可见USB总线技术的提出使人们渴望利用单一的总线技术来实现多种外设同主机互连的梦想得以实现。 本文详细介绍了USB的特点、总线体系结构、数据传输模式、USB数据流模型、USB协议。让大家对USB系统、USB协议以及我们的工作开展有个大概的了解。在消化协议,深入理解协议的基础上提出设计USB接口电路的思想。对同步模式的识别、并行/串行转换、位填充/解除填充、CRC 校验/产生、PID 校验/产生、地址识别和握手评估/产生做了具体的分析。为将来的进一步硬件验证奠定了良好的基础,也增强了集成电路设计中心在通信协议方面的科研能力。采用自顶向下的设计方法,在充分了解系统的基础上,划分功能模块进行行为描述、RTL功能仿真、逻辑综合。在此基础上进一步做时序仿真和静态时序分析,产生byte文件,下载到FPGA进行实物验证。运用硬件描述语言--Verilog-hdl来完成代码设计,并使用Active-HDL和Synplify软件完成仿真和综合。同时本文对EDA软件(Active-HDL和Synplify)的使用也做了一定的介绍。

全文目录


中文摘要  3-4
英文摘要  4-10
第一章 绪论  10-12
  1.1 起因  10-11
  1.2 USB的设计目标  11
  1.3 使用的分类  11
  1.4 特色  11-12
第二章 体系结构概述  12-23
  2.1 USB系统的描述  13-14
    2.1.1 总线布局技术  13-14
      2.1.1.1 USB的主机  13-14
      2.1.1.2 USB的设备  14
  2.2 物理接口  14-15
    2.2.1 电气特性  14-15
    2.2.2 机械特性  15
  2.3 电源  15-16
    2.3.1 功率分配  15
    2.3.2 电源管理  15-16
  2.4 总线协议  16
  2.5 健壮性  16-17
    2.5.1 错误检测  16-17
    2.5.2 错误处理  17
  2.6 系统设置  17-18
    2.6.1 USB设备的安装  17
    2.6.2 USB设备的拆除  17-18
    2.6.3 总线标号  18
  2.7 数据流总类  18-19
    2.7.1 控制数据传送  18
    2.7.2 批量数据传送  18
    2.7.3 中断数据传送  18-19
    2.7.4 同步传输  19
    2.7.5 指定USB带宽  19
  2.8 USB设备  19-23
    2.8.1 设备特性  20
    2.8.2 设备描述  20-23
      2.8.2.1 集线器  21
      2.8.2.2 功能部件  21-23
  2.9 USB主机:硬件和软件  23
  2.10 体系结构的扩充  23
第三章 USB数据流模型  23-38
  3.1 实现者的视图  23-25
  3.2 总线拓扑  25-29
    3.2.1 USB主机  25-26
    3.2.2 USB设备  26-27
    3.2.3 总线的物理拓扑结构  27-28
    3.2.4 总线逻辑拓扑结构  28-29
    3.2.5 客户软件层与应用层的关系  29
  3.3 USB通信流  29-35
    3.3.1 设备端点  31-33
      3.3.1.1 对0号端点的要求  32
      3.3.1.2 对非0号端点的要求  32-33
    3.3.2 通道  33-35
      3.3.2.1 流通道  34
      3.3.2.2 消息通道  34-35
  3.4 传送类型  35-36
  3.5 控制传送  36-37
  3.6 同步传送  37
  3.7 中断传送  37
  3.8 批传送  37-38
第四章 协议处理  38-61
  4.1 位定序  38
  4.2 同步字段  38
  4.3 包字段格式  38-42
    4.3.1 包标记符字段  38-40
    4.3.2 地址字段  40-41
      4.3.2.1 地址字段  40
      4.3.2.2 端口字段  40-41
    4.3.3 帧号字段  41
    4.3.4 数据字段  41
    4.3.5 循环冗余校验  41-42
      4.3.5.1 标记CRC  42
      4.3.5.2 数据CRC  42
  4.4 包格式  42-46
    4.4.1 标记包  42-43
    4.4.2 帧开始(SOF,Start-of-Frame)包  43
    4.4.3 数据包  43-44
    4.4.4 握手包  44-45
    4.4.5 握手回答(Handshake Response)  45-46
      4.4.5.1 功能部件对输入事务回答  45
      4.4.5.2 主机对输入事务回答  45-46
      4.4.5.3 功能部件对输出事务回答  46
      4.4.5.4 功能部件对建立事务的回答  46
  4.5 事务格式  46-54
    4.5.1 批处理事务  47-48
    4.5.2 控制传送  48-52
      4.5.2.1 汇报状态结果  50-51
      4.5.2.2 可变长度数据阶段  51
      4.5.2.3 最后数据事务的出错处理(Error Handling)  51-52
      4.5.2.4 控制管道返回的STALL握手  52
    4.5.3 中断事务  52-53
    4.5.4 同步事务  53-54
  4.6 数据切换同步和重试  54-58
    4.6.1 通过建立标记初始化  54-55
    4.6.2 成功的数据事务  55
    4.6.3 损坏,或者不被接受数据  55-56
    4.6.4 损坏的ACK握手  56-57
    4.6.5 低速事务  57-58
  4.7 错误检测和恢复  58-61
    4.7.1 包错误种类  58-59
    4.7.2 总线周转(Turn-around)时间  59-60
    4.7.3 错误的EOP  60-61
    4.7.4 超时干扰和活动性丧失的恢复  61
第五章 USB接口电路发射端的设计  61-77
  5.1 系统结构框图  62-63
    5.1.1 模拟收发器  62
    5.1.2 串行接口引擎(SIE)  62
    5.1.3 与80C51并行接口举例  62-63
  5.2 SIE(串行接口引擎  63-71
    5.2.1 Receiver端的研究  64-65
    5.2.2 发射端(Transmitter)的研究  65-71
      5.2.2.1 NRZI编码  66-67
      5.2.2.2 比特填充  67-68
      5.2.2.3 同步方式  68-69
      5.2.2.4 并行/串行转换  69
      5.2.2.5 CRC循环冗余校验  69-70
        5.2.2.5.1 标记CRC  69
        5.2.2.5.2 数据CRC  69-70
      5.2.2.6 分组标记识别  70-71
  5.3 设计的Verilog-HDL实现  71
  5.4 自上而下(Top-Down)的设计方法  71-73
  5.5 利用硬件描述语言(HDL)的硬件电路设计方法  73-74
  5.6 设计工具  74-77
    5.6.1 Verilog HDL语言  75
    5.6.2 编译、仿真软件——Active-HDL 5.1  75-76
    5.6.3 综合软件——Synplify 7.0  76-77
第六章 仿真结果分析  77-80
第七章 FPGA实物验证  80-82
第八章 总结与展望  82-84
  8.1 总结  82
  8.2 USB技术展望  82-84
附录1 缩略词表  84-89
参考文献  89-90
致谢  90

相似论文

  1. 催眠后暗示对Stroop冲突效应的抑制研究,B841
  2. 串行总线系统结构的研究与USB应用,TP336
  3. USB接口电路的设计与实现——接收端的设计,TN402
  4. 总线通信中错误检测及处理机制的研究,TN919
  5. 基于LabVIEW的虚拟信号发生器,TN741
  6. 光电联合检测系统中控制模块的设计,TH776
  7. USB接口密码安全系统的设计与实现研究,TN918.4
  8. Er/sie/es与der/die/das的回指功能及应用,H33
  9. 小型化无人机飞行参数记录仪的研制,V241
  10. 卡片式证件自动录入系统设计与算法研究,TP391.4
  11. GMC-TDD-xDMA系统中多载波传输方案的硬件实现和USB总线接口的开发,TN929.5
  12. 短距无线通信及USB接口系统的研究设计,TN925
  13. CAN总线硬件研究,TP273.5
  14. 汽车行驶记录仪数据采集和分析系统的研究,U463.7
  15. 无线电信号快速搜索系统,TN92
  16. 基于USB接口的激光无线通信系统的研究和设计,TN929.1
  17. 康复器械运动参数测试系统研究,TH789
  18. 基于DSP的激光打标控制器设计,TN249
  19. 常压空气网栅脉冲电离室型测氡仪的设计与实现,TL811.1
  20. USB接口的设计和测试,TP334.7

中图分类: > 工业技术 > 无线电电子学、电信技术 > 微电子学、集成电路(IC) > 一般性问题 > 设计
© 2012 www.xueweilunwen.com